EnggRoom

Full Version: Humanoid Robot Electrical Engineering Project
You're currently viewing a stripped down version of our content. View the full version with proper formatting.
Humanoid Robot Electrical Engineering Project

Abstract:-
This thesis investigates the design of a vision system for the "Guroo" a robot humanoid soccer
player. The aim was to create a vision system that was able to view and track objects in the
three dimensional world. Vision hardware is presented for the CMOS Digital Camera and
Interface software for a field programmable gate array included on the main vision board.
The design and implementation of an aesthetically pleasing head is also presented.
Beginning in 2000 the project has moved on from the development of the design of the
original vision system for the "Viperoo" robot soccer team to designing a new system for the
use in the Guroo project and the 2001 upgrade for the Viperoos small soccer league.
The major achievements in the redesign of the vision system are the use of the OV7620
CMOS digital camera chip which has trebled the frame rate of the digital camera used and
the implementation of a dual port ram system for the field programmable gate array. These
combine with the developments in the vision board to produce an improved local vision
system.
The result of this development was a camera that passed data from the three dimensional
world surrounding it to the main vision board.
Future work on the design of a vision system will involve optimising the vision code and
low level hardware code. Future students could also port areas of the object detection code
to the field programmable gate array as another area of vision development.on development.

Keyword:-Humanoid Robot Electrical Engineering Project